fpga学习-5:仿真

[呲溜] [呲溜]     2022-11-29     696

关键词:

在实际FPGA开发过程中

我们不可能直接将代码烧录进板子里进行测试

一是我们无法观察到其内部逻辑变换

而是万一代码中的逻辑有问题

直接进行甚至会导致芯片损坏

毕竟FPGA无法像单片机那样可以利用串口打印等调试方法

FPGA调试只能靠仿真

依然是拿之前我们写的点灯代码做测试

首先,仿真需要安装modelsim仿真工具

该软件的安装这里不做赘述

软件、环境变量都配置好后开始


首先FPGA仿真需要一个测试模块

我们先添加一个测试模块 test.v

在进行仿真时,仿真软件就会模拟运行test.v这个模块

点灯程序中,我们有3个输出和1个输入

因此在模拟时我们需要给目标模块提供一个模拟的输入

我们使用延时功能来产生一个固定频率的输入

1.png


然后就是启动仿真

2.png

选择如图菜单

再点击 "Behavioral Simulatin"

即行为级仿真

3.png

选择测试代码

它会生成modelsim的仿真命令行

4.png

打开命令行,依次输入以上前3条指令即可启动modelsim

5.png

在modelsim最下面的命令行一依次输入

add wave *

run 1ms

然后鼠标指向仿真窗口,按住ctrl+滚动鼠标滚轮

就能看见仿真的波形

6.png

可以右键某个信号,比如out信号

选择properties

将radix设置为“unsigned”即可选择信号的数据类型

效果如下

7.png

这样二进制数据就能以无符号整数显示

fpga教程案例94机器学习1——基于fpga的svm支持向量机二分类系统实现之理论和matlab仿真

FPGA教程目录​​​​​​MATLAB教程目录目录1.软件版本2.SVM原理3.MATLAB仿真效果 查看详情

fpga教程案例60深度学习案例7——基于fpga的cnn卷积神经网络之testbench编写以及cnn仿真测试

FPGA教程目录MATLAB教程目录--------------------------------------------------------------------------------------------------------------------------------目录1.软件版本2.CNN的仿真测试3.注意事项1.软件版本vivado2019 查看详情

zynq之fpga学习----vivado功能仿真(代码片段)

1Vivado功能仿真阅读本文需先学习:FPGA学习----Vivado软件使用典型的FPGA设计流程,如图所示:图片来自《领航者ZYNQ之FPGA开发指南》Vivado设计套件内部集成了仿真器VivadoSimulator,能够在设计流程的不同阶段运行设计的功... 查看详情

zynq之fpga学习----iic协议驱动模块仿真实验(代码片段)

1IIC通信协议简介IIC通信协议基础知识学习:硬件设计基础----通信协议IIC2实验任务设计IIC驱动模块,并进行仿真验证,观察仿真波形3实验设计3.1创建工程新建工程,操作如图所示:输入工程名和路径,如... 查看详情

[从零开始学习fpga编程-13]:快速入门篇-操作步骤3(功能仿真)-2-mentorhdl仿真工具modelsim工具的简介功能仿真的基本原理

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:https://blog.csdn.net/HiWangWenBing/article/details/125029905目录第1章Modelsim简介第2章Modelsim功能仿真的基本原理 查看详情

fpga实操训练(仿真和状态机)(代码片段)

...之前,相信很多同学都是通过仿真的方式来实现verilog学习的。仿真比较容易,也不需要依赖物理硬件,所以一般是大家比较认可的学习方法。等接触了fpga开发板之后&#x 查看详情

[从零开始学习fpga编程-39]:进阶篇-语法-硬件模块的单元测试:仿真激励testbench

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址: 目录前言:第1章硬件的单元测试1.1什么是硬件的单元测试 查看详情

dsp+arm+fpga国产芯片ddr3/ddr4si+pi协同仿真分析

DSP+ARM+FPGA国产芯片仿真。仿真对象:DDR2/3/4/5、LPDDR2/3/4/5等● SI/PI协同仿真,Batch仿真● 参与行业DDR3/4/5设计规范制定● 仿真测试对比,提升仿真精度● 丰富的DDR3/4/5产品Debug经验信号质量仿真-仿真优化前后... 查看详情

dsp+arm+fpga国产芯片ddr3/ddr4si+pi协同仿真分析

DSP+ARM+FPGA国产芯片仿真。仿真对象:DDR2/3/4/5、LPDDR2/3/4/5等● SI/PI协同仿真,Batch仿真● 参与行业DDR3/4/5设计规范制定● 仿真测试对比,提升仿真精度● 丰富的DDR3/4/5产品Debug经验信号质量仿真-仿真优化前后... 查看详情

为啥用modelsim6.5进行fpga的时序仿真,会出现如下情况

这应该是后仿(门级仿真)的波形,这是组合电路中各个信号的延迟不一致,这总共有5个数据线,数据到来时候不一致产生的毛刺现象,是正常波形!如果是前仿的话就是你代码的问题。参考技术A时序不满足之类的你这图信号... 查看详情

[从零开始学习fpga编程-20]:快速入门篇-操作步骤4-2-alteraquartusii工具的快速使用(modelsim联合仿真程序下载到altera开发板)

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:目录前言:第1章创建Verilog工程1.1创建一个新工程 查看详情

fpga:硬件描述语言简介

⭐本专栏针对FPGA进行入门学习,从逻辑代数等基础知识讲起,结合VerilogHDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 查看详情

fpga中的仿真

在进行FPGA工程开发中,都会接触到仿真这个环节。FPGA开发一定要仿真,要养成仿真的习惯。很多初学者或者学艺不精的工程师都比较排斥仿真。但是,仿真真的很重要!仿真可以让设计者能够很快知道模块输出值是否正确。说... 查看详情

在xilinxise12.2环境下用自带的isim仿真详解

  学习FPGA写的第一篇文档,算是人生的一个里程碑,现在脑子里是当年一个人在一个实验室默默学习的场景,但最后依靠着这项技能养活自己,感恩   最近项目用到FPGA,也开始了FPGA的学习历程,硬件... 查看详情

veriloghdl仿真常用命令

⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合VerilogHDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 查看详情

fpga教程案例58深度学习案例5——基于fpga的cnn卷积神经网络之图像缓存verilog实现

FPGA教程目录MATLAB教程目录--------------------------------------------------------------------------------------------------------------------------------目录1.软件版本2.图像缓存的理论介绍3.图像缓存的verilog实现  查看详情

fpga学习之路7vga接口原理与fpga实现(代码片段)

一、软件平台与硬件平台  软件平台:    1、操作系统:Windows-8.1    2、开发套件:ISE14.7    3、仿真工具:ModelSim-10.4-SE  硬件平台:    1、FPGA型号:Xilinx公司的XC6SLX45-2CSG324    2、VGA接口    3... 查看详情

fpga前仿真后仿真

前仿真后仿真时序(综合后)仿真时序仿真将时延考虑进去,包括综合后产生的(与、或、非)门时延,还有布局布线产生的时延。综合(Synthesize),就是将HDL语言设计输入翻译成由与、或、非门和RAM、触发器等逻辑单元组成... 查看详情