fpga中的仿真

alifpga alifpga     2022-11-05     374

关键词:


在进行FPGA工程开发中,都会接触到仿真这个环节。FPGA开发一定要仿真,要养成仿真的习惯。

很多初学者或者学艺不精的工程师都比较排斥仿真。

但是,仿真真的很重要!

仿真可以让设计者能够很快知道模块输出值是否正确。说到这,就有读者想问,直接上板子不是更快吗?如果你以后的工作都是用FPGA来跑流水灯,点数码管的话,那么直接上板子确实是比较快。但是我们相信,不会有哪个公司要求你用FPGA仅仅是跑个流水灯的。如果设计者遇到稍微复杂一点的工程,注意笔者说的是稍微复杂一点,还不是很复杂。那么当您完成一次综合、布局布线、生成bit文件的时间超过半个小时是很正常的。当你发现效果不对,又返回来打算逻辑分析仪插入寄存器查看怀疑对象,那么问题来了,你打算用逻辑分析仪查看多少个寄存器值呢?逻辑分析仪是利用FPGA内部资源来存储数据达到捕捉的功能,加入过大的逻辑分析仪会使得布局布线更为困难,时间会更久。再者逻辑分析仪存储量是有限的,不可能从时间0开始一直存储下去。存满了,下次再来就刷新了。从上面看开,如果不仿真,单纯的用逻辑分析仪进行捕捉,每综合一次消耗大量的时间,再者ChipScope能观察到的信号有限,以及功能单一。这样一个流程下来,也许一天也未必能找到问题的所在,更别说处理问题了。

如果换成仿真,你可以添加大量的观察信号,可以从时间0开始就得到数据,对于稍微复杂的工程,不用跑半个小时的仿真已经可以出很多数据了,足够开发者逐步分析了。

在复杂的工程中,仿真就体现出它的强大意义!



版权所有权归卿萃科技 杭州FPGA事业部,转载请注明出处

作者:杭州卿萃科技ALIFPGA

原文地址:杭州卿萃科技FPGA极客空间 微信公众号


扫描二维码关注杭州卿萃科技FPGA极客空间


 

如何发现并解决fpga设计中的时序问题

参考技术A仿真验证,编写Testbench,使用仿真软件比如Modelism来调试。 查看详情

fpga前仿真后仿真

前仿真后仿真时序(综合后)仿真时序仿真将时延考虑进去,包括综合后产生的(与、或、非)门时延,还有布局布线产生的时延。综合(Synthesize),就是将HDL语言设计输入翻译成由与、或、非门和RAM、触发器等逻辑单元组成... 查看详情

fpga:逻辑功能的仿真与验证

⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合VerilogHDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 查看详情

matlab可以与哪些软件联合仿真

...nk、Modelsim(主要针对FPGA1)Comsol(可以用matlab来改变comsol中的变量)Fluent(流体力学)HFSSINFOLYTICA软件与MatlabSimulink联合仿真AMEsim与Matlab_simulinkMatlab与Flightgear联合仿真(FlightGear飞行模拟器搭建报告)quartus和matlab联合仿真(也是FP... 查看详情

关于fpga仿真软件modelsim的问题

是用modelsim10.0c出现一个问题,就是如果电脑连着网,仿真就无法进行,会开在某个地方,如果断网了,就能正常运行,有谁遇到相似的情况啊?参考技术A把网断了仿真吧,应该是软件破解的问题 参考技术B和谐版本的原因吧。 查看详情

fpga实操训练(仿真和状态机)(代码片段)

... 在进行fpga上板子实验之前,相信很多同学都是通过仿真的方式来实现verilog学习的。仿真比较容易,也不需要依赖物理硬件,所以一般是大家比较认可的学习方法。等接触了fpga开发板之后&#x 查看详情

fpga教程案例94机器学习1——基于fpga的svm支持向量机二分类系统实现之理论和matlab仿真

FPGA教程目录​​​​​​MATLAB教程目录目录1.软件版本2.SVM原理3.MATLAB仿真效果 查看详情

fpga教程案例60深度学习案例7——基于fpga的cnn卷积神经网络之testbench编写以及cnn仿真测试

FPGA教程目录MATLAB教程目录--------------------------------------------------------------------------------------------------------------------------------目录1.软件版本2.CNN的仿真测试3.注意事项1.软件版本vivado2019 查看详情

fpga后仿真怎么做

...,双击GeneratePost-Place&RouteSimulationModel.。生成布局布线后仿真模型。3.切换到Simulation,选中Post-route。4.然后选testbench文件,双击下面的SimulatePost-Place&RouteModel,启动modelsim。在modelsim中观察仿真波形。可以看到输出有明显的延时。 查看详情

基于pmsm的foc控制器simulink仿真和fpga实现

目录一、理论基础二、案例背景三、Simulink模型和FPGA程序3.1simulink建立如下的模型3.2PARK变化 查看详情

zynq之fpga学习----vivado功能仿真(代码片段)

1Vivado功能仿真阅读本文需先学习:FPGA学习----Vivado软件使用典型的FPGA设计流程,如图所示:图片来自《领航者ZYNQ之FPGA开发指南》Vivado设计套件内部集成了仿真器VivadoSimulator,能够在设计流程的不同阶段运行设计的功... 查看详情

dsp+arm+fpga国产芯片ddr3/ddr4si+pi协同仿真分析

DSP+ARM+FPGA国产芯片仿真。仿真对象:DDR2/3/4/5、LPDDR2/3/4/5等● SI/PI协同仿真,Batch仿真● 参与行业DDR3/4/5设计规范制定● 仿真测试对比,提升仿真精度● 丰富的DDR3/4/5产品Debug经验信号质量仿真-仿真优化前后... 查看详情

dsp+arm+fpga国产芯片ddr3/ddr4si+pi协同仿真分析

DSP+ARM+FPGA国产芯片仿真。仿真对象:DDR2/3/4/5、LPDDR2/3/4/5等● SI/PI协同仿真,Batch仿真● 参与行业DDR3/4/5设计规范制定● 仿真测试对比,提升仿真精度● 丰富的DDR3/4/5产品Debug经验信号质量仿真-仿真优化前后... 查看详情

fpga开发设计流程

...:HDL和原理图输入HDL优点:语言与芯片工艺无关3、功能仿真:前仿真,使用波形编辑器和HDL生成测试向量,仿真结果 查看详情

关于fpga的一些你必须知道的概念

  前仿真也称为功能仿真,主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟,主要是验证电路与理想情况是否一致。可综合FPGA代码是用RTL级代码语言描述的,其输入为RTL级代码与Testbench.  ... 查看详情

基于fpga的dds波形发生器可以用modelsim进行功能仿真吗?

...名///",ROMname);end4.按照DDS内核方法做波形发生器5.编写仿真顶层文件6设定modelsim路径,FPGA仿真路径,设定仿真顶层文件即可(具体操作网上有)参考技术A最好用signaltap在线调制能看波形的 参考技术B可以.若是采用了IP核,则需... 查看详情

[从零开始学习fpga编程-13]:快速入门篇-操作步骤3(功能仿真)-2-mentorhdl仿真工具modelsim工具的简介功能仿真的基本原理

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:https://blog.csdn.net/HiWangWenBing/article/details/125029905目录第1章Modelsim简介第2章Modelsim功能仿真的基本原理 查看详情

通信算法之七十二:fpga仿真通信算法,如何加速。vcs更快

《如何加速Modelsim仿真时间》   首先,需要说明的是,Modelsim仿真时间长短,也有电脑配置有关,i7+16G的配置其实也就10分钟左右 ,i5+8G的配置就是1小时多。   其次,就是在仿真平台上下功夫:  ... 查看详情