vhdl串口通信在fpga开发板上测试并解决没有识别到下载接口usb_blaster(nohardware问题)

叶庭云 叶庭云     2022-12-27     165

关键词:

问题描述:今天在调试 VHDL 串口通信,当使用 Quartus II 13.0 综合好的文件下载到 FPGA 开发板时发现,没有识别到下载USB_Blaster,如下图所示。

图片中高亮的部分只有显示No Hardware,并没有显示我们需要的USB-Blaster[USB-0]。那么遇到这种情况该如何解决呢?

首先检查你得设备是否连接正确,如果连接正确,在你的设备管理中会显示如下:

如果识别出了USB-Blaster,则说明连接是正确的,但 Windows 系统将其归类到 Other devices 下,说明缺少对应的驱动程序。幸运的是 Quartus II 13.0 为我们提供了 USB-Blaster 驱动程序,接下来我们就为它加载相应的驱动更新一下就OK了。右键单击USB-Blaster,然后点击更新驱动,此时出现对话框如下。

单击浏览计算机以查找驱动程序软件的目录,在你安装 quartus 的文件夹下,如下所示。

安装驱动程序,如下所示。

成功安装后,如下所示:

点击Programmer

现在能检测到下载接口 USB_Blaster 了,选择 sof 文件,下载到 FPGA 开发板。

下载程序成功后,进行串口通信调试,结果如下:

推荐阅读:
https://blog.csdn.net/weixin_43559850/article/details/103646893
https://blog.csdn.net/dfl448866/article/details/110070591

eda课设fpga开发板vhdl实现串口通信(代码片段)

一、设计概述1.UART串口通信设计目的随着FPGA/CPLD器件在控制领域的广泛使用,开发嵌于FPGA/CPLD器件内部的通用异步收发器,以实现FPGA/CPLD开发系统与PC机之间的数据通信是很有实际意义的。FPGA/CPLD与单片机、ARM等器件不同... 查看详情

基于fpga的ad7303/adcs7476模拟数字转换vhdl开发(代码片段)

欢迎订阅《FPGA学习入门100例教程》、《MATLAB学习入门100例教程》目录一、理论基础二、核心程序三、测试结果一、理论基础    在AlteraNIOS板上设计和实现一个格式转换系统,该系统读取模拟输入,将其转换为数字数据&... 查看详情

有没有dsp与fpga通信的硬件测试软件

...给你用,自己写一个就可以,不会太难参考技术A如果调串口,用串口调试工具,这类有很多,搜索一下。 查看详情

fpga串口通信(代码片段)

FPGA串口通信文章目录FPGA串口通信基础原理异步串行通信UARTVerilog实现串口接收1.介绍2.程序实现严格按照状态机实现非严格按照状态机实现(目前使用)串口发送1.介绍2.程序实现严格按照状态机实现非严格按照状态机实... 查看详情

mcu与fpga串口通信

FPGA以9600的波特率向单片机发送32位数据,然后单片机对数据进行解析,显示在显示屏上面波特率的产生:9600bps是指每秒钟发送9600个bit,即1bit的时间为1/9600,fpga板子自带50M晶振,那么一bit的时间时1/9600/1/50M        在... 查看详情

移植usbch340驱动到arm板,并作测试

...动,从而插上TTL转USB模块就可以用minicom之类的软件进行串口通信,插入TTL转USB模块后,在Ubuntu中lsmod查看已载入的驱动,如下所示,此时已经可以直接写程序或者利用minicom进行串口通信了。可惜的是,在我的linux-arm开发板上,... 查看详情

fpga教程案例65硬件开发板调试5——基于rs232的串口通信,由fpga发射数据到pc

FPGA教程目录MATLAB教程目录--------------------------------------------------------------------------------------------------------------------------------目录1.软件版本2.RS232串口概述FPGA中串口通信的时钟频率和波特率计数 查看详情

开发板上的五方向按键如何通过串口控制

...ED的硬件电路参看:直接通过寄存器地址操作控制LED灯2、串口开发板中连接了MCU的2个串口,分别为USART1和USART3,其中USART1通过CH340G接PC端USB口,实现USB转串口功能,可以用于程序下载和串口通信,但通过PC端的超级终端连接时不... 查看详情

将压缩图像转换为 VHDL RGB 数组

...转换为VHDL中的3DRGB数组的最佳方法是什么?我计划在Zynq板上运行它,因此软件或硬件解决方案都可以工作。【问题讨论】:又快又脏,创建aZy 查看详情

基于fpga的串口通信,按键控制发送0~255数据(代码片段)

文章目录前言本实验是基于FPGA的串口通信实验。一、实验结果二、实验步骤顶层模块串口发送模块中的累加模块波特率边沿检测实验中遇到的问题及解决办法写在最后的话前言本实验是基于FPGA的串口通信实验。想要完整电路图... 查看详情

如何在 Android 开发板上测试音频 HAL

】如何在Android开发板上测试音频HAL【英文标题】:HowtotestaudioHALonandroiddevboard【发布时间】:2015-05-1802:28:52【问题描述】:我有一个我正在运行android(KK4.4.3)的devkit。这个板子没有显示器,所以我所做的所有工作都在命令行中。我... 查看详情

zynq之fpga学习----eeprom读写测试实验(代码片段)

...发板上使用的是AT24C64,通过IIC协议实现读写操作。IIC通信协议基础知识学习:硬件设计基础----通信协议IICA 查看详情

fpga和上位机通信用啥接口

...与上位机之间通信速度比较高,什么样的接口比较合适,串口不知道最大速率是多少,网口的话是不是要在FPGA端编写专门的TCP/IP协议,还有没有其他什么方法,最好能知道各种方法速率的,多少个Gbps。FPGA可用实行各种标准外设... 查看详情

微处理器基于fpga的微处理器vhdl开发

1.软件版本vivado2019.22.算法概述   微处理器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用.在计算机系统中... 查看详情

关于arduinodue开发板在arduinoide串口打印问题解决(附serial函数整理)

...原来的Serial函数后加上USB即可实现原有功能例:arduinoide串口监视器输出helloworldUNOR3开发板:Serial.printf("helloWorld");Due开发板:SerialUSB.printf("helloWorld");//只需将Serial改为SerialUSB即可   Serial.begin();//开启串行... 查看详情

计算机与 BASYS 3 FPGA 之间的 UART 通信

】计算机与BASYS3FPGA之间的UART通信【英文标题】:UARTcommunicationbetweencomputerandBASYS3FPGA【发布时间】:2017-08-0613:52:23【问题描述】:我有一个项目,我需要将数据从Windows10计算机发送到BASYS3板(ARTIX7FPGA)。我使用UART来做到这一点... 查看详情

带有 VHDL 代码的 FPGA 上的声音发生器

】带有VHDL代码的FPGA上的声音发生器【英文标题】:SoundgeneratoronFPGAwithVHDLcode【发布时间】:2015-04-2116:56:13【问题描述】:我需要使用键盘作为音符的输入,并使用数字扬声器作为输出。我打算只用一个八度。我最有趣的问题是... 查看详情

基于quartusii软件fpga与pc之间的串行通信系统开发(9000+字)

...发系统上得到了验证。    我们在PC端利用串口调试工具,来向开发板发送数据,串口调试工具的设置如下:图1串口调试工具设置 查看详情