fpga学习笔记——fpga学习路线及开发流程

Mculover666 Mculover666     2022-11-14     470

关键词:

###### 【该随笔部分内容转载自小梅哥】       #########

一、FPGA学习路线

  工具使用 -> 语法学习 -> 逻辑设计 -> IP使用 ->接口设计 -> 时序分析 -> 片上系统

1、工具使用

  Altera:Quartus II

  Xlinx: Vivado

2、语法学习

  Verilog HDL(FPGA设计的是电路)

3、 逻辑设计

  组合逻辑:多路选择器、加法器、译码器、乘法器 ·······

  时序逻辑:计数器、分频器、移位寄存器、定时器 ·······

  接口逻辑:UART,CAN,SPI,LVDS ······

  状态机,线性序列机

4、IP使用

  计数器、IO、FFT、各种软核CPU(MC8051)·······

5、接口设计

  Avalon ST、Avalon MM、FSMC和FPGA

6、时序分析

  时序分析和时序约束

7、片上系统

  SOPC: 使用FPGA通用逻辑搭建CPU和外围设备电路

  Intel:     NIOS II 软核CPU,ARM CortexA9硬核

  Xilinx: MicroBlaze软核CPU,PowerPC硬核,硬核ARM CortexA9

  MCS8051、开源软核CPU、CortexM0

二、FPGA开发流程

  1、设计定义

  2、设计输入(verilog、原理图)

  3、分析和综合

  4、功能仿真(modelsim)

  5、布局布线

  6、时序仿真

  7、IO分配及配置文件的生成

  8、配置(烧写FPGA)

  9、在线调试

三、工程模板

  1、Quartus II

  

  • prj -----   工程文件存放目录(ip文件夹存放生成的ip核文件)
  • rtl  -----   verilog可综合代码
  • img ----- 设计相关图片存放目录(方便写文档)
  • doc ----- 设计相关文档存放目录
  • testbench ----- 对应的testbench仿真测试文件存放目录

  2、Vivado

 

 

  

   使用Vivado软件比较方便,直接指定存放工程目录就可以,源文件和测试文件会自动分类存放在子文件夹下:

  

fpga软硬协同设计学习笔记及基础知识

  一、FPGA软件硬件协同定义:   软件:一般以软件语言来描述,类似ARM处理器的嵌入式设计。硬件定义如FPGA,里面资源有限但可重配置性有许多优点,新的有动态可充配置技术。      Xili... 查看详情

fpga学习笔记:vivado2018.2microblazeuartlite配置

...UartliteVivado创建工程,这部分可以参考之前的文章,如FPGA学习笔记:Vivado2019.1工程创建【BlockDesign】的创建,这个可以参考之前的文章,如:FPGA学习笔记:Vivado2019.1添加IPMicroBlaze网络连线【BlockDesign】中,可以使用使用Vivado提供... 查看详情

[从零开始学习fpga编程-8]:快速入门篇-fpga功能开发详细流程与关键步骤解读

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:https://blog.csdn.net/HiWangWenBing/article/details/124812047目录前言:FPGA详细开发总体流程 查看详情

fpga学习笔记——初识fpga

###### 【该随笔属于小梅哥FPGA系列视频学习笔记,原创为小梅哥】    #########  FPGA(Field-ProgrammableGateArray,现场可编程门阵列),典型的FPGA原理和结构如下图(注:以CycloneIVEFPGA芯片为例),主要包括三类基本... 查看详情

fpga学习笔记2021-6-15

1.CMT(clockmanagementtiles时钟管理模块),提供了时钟合成(clockfrequenceysynthesis)、倾斜校正(deskew)、过滤抖动(jitterfiltering);1CMT:1MMCM(mixed-modeclockmanagement)+1PLL(锁相环)  查看详情

基于vivado(语言verilog)的fpga学习——fpga理论知识

基于vivado(语言Verilog)的FPGA学习(3)——FPGA理论知识文章目录基于vivado(语言Verilog)的FPGA学习(3)——FPGA理论知识1.FPGA介绍1.1.FPGA内部结构(1).可编程逻辑块CLB(2).可编程输... 查看详情

深度学习fpga实现基础知识6(deeplearning(深度学习)学习资料大全及csdn大牛博客推荐)

需求说明:深度学习FPGA实现知识储备来自:时间的诗第一部分:博客大牛(深度学习方向)1、http://blog.csdn.net/zouxy09研究方向:机器学习、计算机视觉、人机交互和人工智能等领域作品:DeepLearning(... 查看详情

fpga可以转ic设计吗?需要学习哪些技能?

曾经在知乎上看到一个回答“入职做FPGA,后续是否还可以转数字IC设计?”从下面图内薪资就可以对比出来,对比FPGA的行业薪资水平,IC行业中的一些基础性岗位薪资比很多FPGA大多数岗位薪资都要高。除了薪资之... 查看详情

fpga教程案例72基础操作2——xilinx原语学习及应用2

FPGA教程目录MATLAB教程目录--------------------------------------------------------------------------------------------------------------------------------目录1.软件版本2.原语的应用——IBUFGDS3.原语的应用——BUFGMUX 查看详情

fpga/verilog学习笔记——verilog程序框架

文章目录​​一、注释​​​​二、关键字​​​​三、Verilog程序框架​​​​1.模块​​​​(1)基础概念​​​​(2)定义一个模块​​​​(3)功能定义的三种方法​​​​(4)模块的调用​​​​2.结构语句​​​​... 查看详情

flash学习笔记

    最近升级FPGA程序遇到校验失败的问题,进一步接触了flash,升级的过程是上位机软件将hex文件通过usb发到RK3188,RK3188通过串口一帧一帧(每帧1kb的数据)地将数据发到fpga,由fpga最终将程序通过SPI写到flash中。升... 查看详情

fpga/verilog学习笔记——verilog基础语法

文章目录​​Verilog基础语法​​​​一、基础知识​​​​1.逻辑值​​​​2.数字进制格式​​​​3.标识符​​​​二、数据类型​​​​1.reg和wire类型​​​​(1)reg寄存器类型​​​​(2)wire线网类型​​​​(3)reg... 查看详情

fpga学习笔记——verilog基本语法(代码片段)

###### 【该随笔部分内容转载自小梅哥】#########组合逻辑:  多路选择器、加法器、译码器、乘法器时序逻辑:  计数器、分频器、定时器、移位寄存器 一、Verilog文件的基本结构    1、模块声明&... 查看详情

fpga寒假学习目标

学习FPGA逻辑设计课程熟练使用ISEQuartus Modelsim掌握四种FPGA经典设计思想:流水线乒乓操作串并转换数据同步调试经常的模块:PLL RAMROMFIFOdds任意奇偶分频计数器状态机 掌握测试文件编写方法常用接口协议逻辑开发:串... 查看详情

fpga开发流程1(详述每一环节的物理含义和实现目标)

...常关键,它决定了这件事情的顺利进行与否。同样,我们学习FPGA开发数字系统这个技术,先撇开使用这个技术的基础编程语言的具体语法、使用工具和使用技巧不谈,咱先来弄清楚FPGA的开发流程是什么。   FPGA的开发... 查看详情

v3学院带您一起学习fpga

本文为原创,转载请注明!课程名称:双buffer乒乓操作项目概况:使用FPGA内部ram作为缓冲器,实现对外部数据流的缓存;为了提升数据的传输及处理速度,在此节课中将用到两个ram进行乒乓操作。结构框图: 效果描述:本... 查看详情

fpga学习笔记——数字逻辑设计基础(抽象的艺术)

  FPGA设计的是数字逻辑,在开始用HDL设计之前,需要先了解一下基本的数字逻辑设计——一门抽象的艺术。  现实世界是一个模拟的世界,有很多模拟量,比如温度,声音······都是模拟信号,通过对模拟信号进行约束... 查看详情

fpga学习-2:开发板及verilog介绍(代码片段)

我们将使用sipeed公司设计的tangpermier开发板进行开发学习这款开发板使用了国产EG4S20芯片拥有2万多个逻辑门单元价格仅100多,极具性价比可以在上面跑riscv开源架构cpu核心完全够用IDE我们使用官方的安路TD软件详细安装过程可去si... 查看详情