(转)fpga小白学习之路pll中的locked信号解析

kybyano kybyano     2022-10-09     439

关键词:

ALTPLL中的areset,locked的使用

  转自:http://www.360doc.com/content/13/0509/20/9072830_284220258.shtml

  今天对PLL中areset和locked详细查了下资料,发现网上这方面的资料很少,所以自己认真读了下Documentation---ug_altpll.pdf,现在我将我学到的内容总结如下:

  areset简而言之就是高电平有效,对pll进行复位。

  下面我们主要来认识一下locked信号:

  Locked这个输出到底是干嘛用的呢,pdf中这样写道:

  The ALTPLL megafunction allows you to monitor the PLL locking process using a lock signal named locked and also allows you to set the PLL to self-reset on loss of lock.

  原来这Locked信号是用来观察pll输出时钟是否和输入时钟锁定。当锁定时,这个Locked信号就变为高电平。

  但pdf中又这么写道:

  The locked signal might toggle as the PLL begins tracking the reference clock. To avoid such a false lock indication, use a gated lock signal.

  当这个pll刚开始跟踪输入时钟时这个Locked信号又可能会发生跳转,为了避免这种错误的指示,我们就使用gated lock信号。这个gated lock信号其实和locked信号是同一个,locked信号多了个计数功能后就叫做gated lock了。

  You must specify the number of PLL input clock cycles to hold the locked signal low after the PLL is initialized

  就是在pll被初始化之后,让gated lock依旧保持低电平多少个周期,以此来解决下面图片中的问题:

  这图片中locked在pll开始跟踪输入时钟的时候一直在抖动,而gated lock因为设置了上面周期后,开始阶段始终为low,直到到达counter值后变为高电平,这就避免了locked的抖动。所以gated lock信号更加稳定。

这个时钟周期怎么算呢,如下:

  To calculate the number of clock cycles needed, you must know the maximum lock time of the PLL, and the period of the PLL input clock. The lock time of the PLL is listed in the “PLL Timing Specifications” section of the DC & SwitchingCharacteristics chapter of the device handbook. The period of the PLL input clock is user-specified. For example, if the maximum lock time of a PLL is 1ms,and its input clock frequency is 100 MHz which corresponds to a 10 ns clock period, you calculate the value of the gated lock counter, by dividing 1 ms by 10 ns. The result is 100,000 clock cycles. 这个我就不解释了,大家自己看吧,很容易理解的。

  不同器件类型对gated lock和self-reset的支持情况不一样

 

 

 

 

 

 

(转)fpga小白学习之路clk为什么要用posedge,而不用negedge

clk为什么要用posedge,而不用negedge  转自:http://www.cnblogs.com/dangxia/archive/2012/03/07/2383744.html  Verilog中典型的counter逻辑是这样的:1always@(posedgeclkornegedgereset)begin23if(reset==1‘b0)45reg_inst1<=8‘d0;67e 查看详情

(转)usb小白学习之路fx2lpcy7c68013——slavefifo与fpga通信

此博客转自CSDN:http://blog.csdn.net/xx116213/article/details/50535682 1USB概述USB名称解释 USB是通用串行总线(UniversalSerialBus)的缩写。能过在计算机运行过程中随意地接入,并且立刻就能投入工作,那么这样的特性叫做即插即用PnP... 查看详情

fpga小白学习之路串口波特率问题的处理

串口波特率问题的处理此博文一共包含三个方面的内容:(1)异步串口通信的数据格式;(2)为何串口通信中接收端采样时钟频率是传输的波特率的16倍;(3)串口波特率等概念。1、异步串口通信的数据格式  串口的通信可以通过链... 查看详情

fpga小白学习之路systemverilog的概念以及与verilog的对比

转自CSDN:http://blog.csdn.net/gtatcs/article/details/8970489SystemVerilog语言简介 SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE1364-2001Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和... 查看详情

usb小白学习之路cy7c68013aslavefifo模式下与fpga通信

CY7C68013ASlaveFIFO模式下与FPGA通信CY7C68013A的时钟是由FPGA提供的24MHz,RESET引脚也是由FPGA控制。1、开始时没有给FPGA烧录程序,将CY7C68013A接到PC上,安装驱动后,是检测不到device的,经查找,原因有两个:  ①没有时钟,这种情况... 查看详情

前端小白的学习之路--html学习

HTML的补充学习1.meta与base<metahttp-equiv="refresh"content="2">2秒刷新一次<basehref="https://www.baidu.com"target="_blank">设置默认跳转地址以及跳转方式<linkrel="icon 查看详情

(转)usb小白学习之路(12)cy7c68013固件之slavefifo

Cy7c68013固件之SlaveFIFO转自:http://blog.csdn.net/zengshaoqing/article/details/53053539 选择SlaveFIFO传输方式  SlaveFIFO传输示意如图1:图1SlaveFIFO传输示意图  在SlaveFIFO方式下,FX2LP内嵌的8051固件的功能只是配置SlaveFIFO 相关的寄存器以... 查看详情

fpga的学习:pll-ip核的调用

锁相环是最常用的IP核之一,其性能强大,可以对输入到FPGA的时钟信号进行任意分频、倍频、相位调整、占空比调整,从而输出一个期望时钟。其基本原理如下: 查看详情

[从零开始学习fpga编程-53]:高阶篇-基于ip核的fpga开发-pll锁相环ip核的原理与配置(xilinx)

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:目录前言:第1章PLL锁相环的通用原理1.1PLL的概念 查看详情

前端小小白的学习之路javascript中的十个难点,你有必要知道。

1.立即执行函数立即执行函数,即ImmediatelyInvokedFunctionExpression(IIFE),正如它的名字,就是创建函数的同时立即执行。它没有绑定任何事件,也无需等待任何异步操作:(function(){//代码//...})();function(){…}是一个匿名函数,包围... 查看详情

(转)usb小白学习之路(10)cy7c68013slavefifo模式下的标志位

转自良子:http://www.eefocus.com/liangziusb/blog/12-11/288618_bdaf9.html   CY7C68013含有4个大端点,可以用来处理数据量较大的传输,如数据采集、视频等方面的应用。每个大端点都可以独立配置对应的FIFO,同时,也提供了相应的标志位... 查看详情

(转)usb小白学习之路(11)cy7c68013驱动电路设计注意事项

Cy7c68013驱动电路设计注意事项转自:http://group.chinaaet.com/116/79029#0-tsina-1-71467-397232819ff9a47a7b7e80a40613cfe1  CY7C68013的驱动电路非常简单,除了提供24MHz时钟源,设计好USB差分接口,做好电源滤波,其他信号均可由数字控制。这里简... 查看详情

usb小白学习之路hid鼠标程序

HID鼠标程序1. 特别注意需要特别注意,各个例程中的设备描述符,配置描述符等各种描述符都是已经配置好了的,我们需要做的只是在例程中将代码修改为自己需要的部分即可,一般情况下是不可以串搭配的。2. 程序解... 查看详情

usb小白学习之路hid键盘程序

HID键盘程序1. 特别注意需要特别注意,各个例程中的设备描述符,配置描述符等各种描述符都是已经配置好了的,我们需要做的只是在例程中将代码修改为自己需要的部分即可,一般情况下是不可以串搭配的。2. 程序解... 查看详情

小白的ctf学习之路1——程序与cpu

刚刚注册了这个博客园,尽量保持每日一更(*/ω\*)今天看了po学院的教学视频,了解了程序是什么,如何在CPU当中工作的等各种之前未曾想过的问题,特此记录,以防忘记首先我们学习程序与CPU之前需要了解这么几个问题:1... 查看详情

zynq之fpga学习----mmcm/pllip核使用实验(代码片段)

1MMCM/PLLIP核介绍PLL的英文全称是PhaseLockedLoop,即锁相环,是一种反馈控制电路。PLL对时钟网络进行系统级的时钟管理和偏移控制,具有时钟倍频、分频、相位偏移和可编程占空比的功能Xilinx7系列器件中的时钟资源包含... 查看详情

[从零开始学习fpga编程-54]:高阶篇-基于ip核的fpga开发-pll锁相环ip核的原理与配置(altera)

作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客本文网址:前言:现代数字电路少不了时钟,时钟是时序电路的心跳,没有时钟,时序电路就无法工作,但是不同功能的时序电路,其所... 查看详情

fpga学习之路7vga接口原理与fpga实现(代码片段)

一、软件平台与硬件平台  软件平台:    1、操作系统:Windows-8.1    2、开发套件:ISE14.7    3、仿真工具:ModelSim-10.4-SE  硬件平台:    1、FPGA型号:Xilinx公司的XC6SLX45-2CSG324    2、VGA接口    3... 查看详情