vivado那些事儿vivado设计套件版本的支持性第三方工具

碎碎思 碎碎思     2023-01-14     819

关键词:

Vivado 设计套件版本的支持性第三方工具

本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。

这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可” (UG973) 中列出。

请参阅 "架构支持与需求" > "兼容的第三方工具"。

大致的版本信息也可以通过下方推算出来。

Vivado Design Suite 2019.2

Mentor Graphics ModelSim SE/DE/PE (2019.2)

Mentor Graphics Questa 高级仿真器 2019.2

Cadence Incisive 企业仿真器 (IES) (15.20.073)

Cadence Xcelium 并行模拟器(19.03.005)

Synopsys VCS 与 VCS MX (O-2018.09-SP2-1)

Aldec Active-HDL (10.5a)

Aldec Riviera-PRO (2019.04)

Vivado Design Suite 2019.1

Mentor Graphics ModelSim SE/DE/PE (10.7c)

Mentor Graphics Questa 高级仿真器 (10.7c)

Cadence Incisive 企业仿真器 (IES) (15.20.065)

Cadence Xcelium 并行模拟器(18.09.005)

Synopsys VCS 与 VCS MX (O-2018.09) Aldec Active-HDL (10.5a)

Aldec Riviera-PRO (2018.10)

Vivado Design Suite 2018.3

Mentor Graphics ModelSim SE/DE/PE (10.6c)

Mentor Graphics Questa 高级仿真器 (10.6c)

Cadence Incisive 企业仿真器 (IES) (15.20.053)

Cadence Xcelium 并行模拟器(18.03.005)

Synopsys VCS 与 VCS MX (N-2017.12-SP2)

Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

Vivado Design Suite 2018.2

Mentor Graphics ModelSim SE/DE/PE (10.6c)

Mentor Graphics Questa 高级仿真器 (10.6c)

Cadence Incisive 企业仿真器 (IES) (15.20.042)

Cadence Xcelium 并行模拟器(17.10.005)

Synopsys VCS 与 VCS MX (N-2017.12)

Aldec Active-HDL (10.4a)

Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2018.1

Mentor Graphics ModelSim SE/DE/PE (10.6c) Mentor Graphics Questa 高级仿真器 (10.6c) Cadence Incisive 企业仿真器 (IES) (15.20.042) Cadence Xcelium 并行模拟器(17.10.005) Synopsys VCS 与 VCS MX (N-2017.12) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2017.4

Mentor Graphics ModelSim SE/DE/PE (10.6b) Mentor Graphics Questa 高级仿真器 (10.6b) Cadence Incisive 企业仿真器 (IES) (15.20.028) Synopsys VCS 和 VCS MX (M-2017.03-SP1) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

Vivado Design Suite 2017.3

Mentor Graphics ModelSim SE/DE/PE (10.6b) Mentor Graphics Questa 高级仿真器 (10.6b) Cadence Incisive 企业仿真器 (IES) (15.20.028) Synopsys VCS 和 VCS MX (M-2017.03-SP1) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

Vivado Design Suite 2017.2

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.014) Synopsys VCS 与 VCS MX (L-2016.06-SP1) Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2017.1

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.014) Synopsys VCS 与 VCS MX (L-2016.06-SP1) Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2016.4

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.005) Synopsys VCS 与 VCS MX (L-2016.06) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.3

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.005) Synopsys VCS 与 VCS MX (L-2016.06) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.2, 2016.1

Mentor Graphics ModelSim SE/DE/PE (10.4d) Mentor Graphics Questa 高级仿真器 (10.4d) Cadence Incisive 企业仿真器 (IES) (15.10.013) Synopsys VCS 与 VCS MX (K-2015.09) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)

Vivado Design Suite 2015.4, 2015.3

Mentor Graphics ModelSim SE/DE/PE (10.4b) Mentor Graphics Questa 高级仿真器 (10.4b) Cadence Incisive 企业仿真器 (IES) (14.20.006) Synopsys VCS 与 VCS MX (J-2014.12-SP2) Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)

Vivado Design Suite 2015.2, 2015.1

Mentor Graphics ModelSim SE/DE/PE (10.3d) Mentor Graphics Questa 高级仿真器 (10.3d) Cadence Incisive 企业仿真器 (IES) (14.10.011) Synopsys VCS 与 VCS MX (I-2014.03-SP1) Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)

Vivado Design Suite 2014.4

Mentor Graphics ModelSim SE/DE/PE (10.3b) Mentor Graphics Questa 高级仿真器 (10.3b) Cadence Incisive 企业仿真器 (IES) (13.20.005) Synopsys VCS 与 VCS MX (I-2014.03) Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.3

Mentor Graphics ModelSim SE/DE/PE (10.3b) Mentor Graphics Questa 高级仿真器 (10.3b) Cadence Incisive 企业仿真器 (IES) (13.20.005) Synopsys VCS 与 VCS MX (I-2014.03) Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.2, 2014.1

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06-SP1) Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2013.4

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06) Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)

Vivado Design Suite 2013.3

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06-3) Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)

Vivado Design Suite 2013.1, 2013.2

Mentor Graphics ModelSim SE/DE/PE (10.1b) Mentor Graphics Questa 高级仿真器 (10.1b) Cadence Incisive 企业仿真器 (IES) (12.2) Synopsys VCS 与 VCS MX (G-2012.09) Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)

NOW

学习Xilinx FPGA最好的资料其实就是官方手册,下表总结了部分手册的主要介绍内容,关注我,持续更新中......

文件名主标题内容简单介绍是否有中文版
UG4767 Series FPGAs GTX/GTH  TransceiversGTX和GTH介绍,PCIe、serdes等学习必备
UG4717 Series FPGAs SelectIO Resources描述 7 系列 FPGA 中可用的 SelectIO资源。
UG1114PetaLinux Tools DocumentatonPetaLinux 工具文档 参考指南是,V2019.2
UG949UltraFAST 设计方法指南(适用于 Vivado  Design Suite)赛灵思® UltraFast™  设计方法是用于为当今器件优化设计进程的一套最佳实践。这些设计的规模与复杂性需要执行特定的步骤与设计任务,从而确保设计每一个阶段的成功开展。依照这些步骤,并遵循最佳实践,将帮助您以最快的速度和最高的效率实现期望的设计目标是,V2018.1
IP手册pg057FIFO GeneratorFIFO生成器IP使用手册
pg104Complex Multiplier复数乘法器IP使用手册
pg122RAM-Based Shift Register 移位寄存器IP使用手册

推荐阅读

【Vivado那些事】如何查找官网例程及如何使用官网例程

【Vivado使用误区与进阶】总结篇

【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键

SystemVerilog数字系统设计_夏宇闻 PDF

图书推荐|ARM Cortex-M0 全可编程SoC原理及实现

简谈:如何学习FPGA

Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目

AD936x+ZYNQ搭建收音机(一)

AD936x+ZYNQ搭建OpenWIFI

无招胜有招-Vivado非工程模式下的详细设计

面试中经常会遇到的FPGA基本概念,你会几个?

推荐一些可以获取免费的国外的原版书籍(电子版)网站

FPGA 的重构

国产CPU概括

从电子游戏历史看IC发展的助推剂

80年代电子游戏及电脑游戏的发展历史

PCIe总线的基础知识

万字长文带你回顾电子游戏的七十多年历史(完整版)

FPGA中异步复位,同步释放的理解

OpenFPGA系列文章总结

用Verilog设计一个16 位 RISC 处理器

介绍一些新手入门FPGA的优秀网站(新增)

Verilog数字系统基础设计-CR

Verilog数字系统基础设计-奇偶校验

建立和保持时间及时序简单理解

(Xilinx)FPGA中LVDS差分高速传输的实现

Xilinx Multiboot实例演示

高速串行通信常用的编码方式-8b/10b编码/解码
Verilog计时(微秒、毫秒和秒)脉冲的产生及同步整形电路

图书推荐|一本图像/视频处理的强大工具书

Verilog HDL-同步技术

再说System Verilog 与 Verilog 的关系

数模混合信号建模语言Verilog-AMS

Intel/Altera 系列FPGA简介

一块带给无数人年少欢乐的CPU,别说你没用过它

Verilog在编写第一行代码之前

【例说】Verilog HDL 编译器指令,你见过几个?

穿越时空的爱恋-Z80 CPU的前世今生

【Vivado】那些事儿-汇总篇

古老CPU启示录-晶体管之路

【Vivado那些事儿】约束的顺序

童年修复系列-SNES芯片组介绍及FPGA实现

优秀的 Verilog/FPGA开源项目介绍(二)-RISC-V

串行总线技术(一)-串行总线结构(以PCIe为例)

vivado设计套件

...it?surl=6Nu03YJLuz_aYuGQMm_8oQ的链接,那个网页的标题好像叫“Vivado2017.4”,不是很懂“”是什么意思。Vivado设计思想Vivado是以IP为重点进行系统级的设计,着眼于从已验证好的IP核构建系统,而不是底层硬件的设计。支持的设备工具... 查看详情

vivado低版本的bd设计能否升级到高版本?

参考技术A可以升级,updateIP核设计 查看详情

在嵌入式设计中使用microblaze(vivado版本)(转)

原文Xilinx官方文档《ug898-vivado-embedded-design》第三章一、MicroBlaze处理器设计介绍(略)二、创建带有MicroBlaze处理器的IP设计使用Vivado进行MicroBlaze设计和使用ISE有很大的不同。(译者加:所以你要仔细看下面的说明)VivadoIDE使用IP... 查看详情

在嵌入式设计中使用microblaze(vivado版本)

原文Xilinx官方文档《ug898-vivado-embedded-design》第三章一、MicroBlaze处理器设计介绍(略)二、创建带有MicroBlaze处理器的IP设计使用Vivado进行MicroBlaze设计和使用ISE有很大的不同。(译者加:所以你要仔细看下面的说明&... 查看详情

如何使用老版本的vivado打开新版本的vivado工程

参考技术AVivado2014以及之后的版本已经不支持XP了,所以请您去重换一个win7或者linux的系统吧。 查看详情

vivado中可ad转换的ip

参考技术A可以。步骤:1、选中工程中的top模块。点击Tools、CreateandPackageNewIP。2、弹出界面后,点击next,选择Packageyourcurrentproject,然后继续点击next。Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。 查看详情

zynq从入门到头秃02zynq硬件介绍和vivado开发流程(代码片段)

文章目录Vivado简介安装Vivado重新安装驱动开发板硬件介绍ZYNQ启动配置时钟配置PS系统时钟源PL系统时钟源PS端的外设USB转串口SD卡槽用户LED用户按键PL端的外设EEPROM24LC04扩展口J10扩展口J11用户LED用户按键Vivado开发流程手把手教你使... 查看详情

怎么用vivado生成bin或者mcs文件?

...单tool下面有个属性设置里有类似原来是impact转换工具。vivado基本知识:Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模... 查看详情

zynq之fpga学习----vivado功能仿真(代码片段)

1Vivado功能仿真阅读本文需先学习:FPGA学习----Vivado软件使用典型的FPGA设计流程,如图所示:图片来自《领航者ZYNQ之FPGA开发指南》Vivado设计套件内部集成了仿真器VivadoSimulator,能够在设计流程的不同阶段运行设计的功... 查看详情

vivado的非嵌入ila的使用

vivado非嵌入ILA的使用1、实验原理前面在vivado中联合vitis设计时接触过ila,那个时候采用的方法是直接调用IP核在原理图中连接。这个方法简单直接,可以将自己所需的测量信号转移到ILA上实现显示。在下载后会自动弹出ILA界面。... 查看详情

vivado中parameter啥意思

...m;此外,问题应该修改为"Verilog中parameter的作用",vivado只是一个设计套件。 查看详情

fpga教程案例9基于vivado核的时钟管理器设计与实现

...-------------------------------------------------------------1.软件版本vivado2019.22.本算法理论知识    在上一课程,我们学习了基于计数器的时钟分频器,但是其实现的分频数是由限制的,而在FPGA设计过程中,往往需要一些特殊... 查看详情

了解赛灵思vivado开发套件与ip核的原理作用

IP核(IPCore) Vivado中有很多IP核可以直接使用,例如数学运算(乘法器、除法器、浮点运算器等)、信号处理(FFT、DFT、DDS等)。IP核类似编程中的函数库(例如C语言中的printf()函数),可... 查看详情

vivado时序分析练习

VIVADO时序分析练习时序分析在FPGA设计中是分析工程很重要的手段,时序分析的原理和相关的公式小编在这里不再介绍,这篇文章是小编在练习VIVADO软件时序分析的笔记,小编这里使用的是18.1版本的VIVADO。这次的练习选择的是ZYNQ... 查看详情

fpga教程案例11基于vivado核的除法器设计与实现

...-------------------------------------------------------------1.软件版本vivado2019.22.本算法理论知识和IP核配置方法    在数字信号处理过程中,除法器的使用较为广泛,但是在FPGA中,除法的实现 查看详情

fpga教程案例12基于vivado核的复数乘法器设计与实现

...-------------------------------------------------------------1.软件版本vivado2019.22.本算法理论知识和IP核配置方法    在数字信号处理过程中,复数乘法有着非常多的应用,包括信号混频,FFT,I 查看详情

vivado使用心得

...析Verilog程序中的算法...于是自然搭配Xilinx(赛灵思)发布的vivado集成开发环境进行分析.我用的版本是vivado2017.1版本,在这之前,2014.4版本 查看详情

vivado2015之后的版本是否只支持64位了

参考技术A方法/步骤安装之前先要看看自己的系统支不支持,具体的可以看:patibility-vs如下图所示:直接到微软官方网站进行下载:或者到简介中的百度中下载备份双击下载后的软件:vs2015.preview_ult_ENU.iso,将他加载到虚拟光驱... 查看详情